vcs编译vivado原语:

2023-11-09

使用vcs+verdi问题较少;

使用vlogan+vcs+verdi三步编译:

vlogan:

vlogan -V -sverilgo -ignore unique_checks +libext+.sv+.vp+.vlib+.svh -l ./vlogan_compile.log -no_error MPD -timescale=1ns/1ps -full64 +v2k -kdb -lca $(youself_filelist)

vcs:

vcs -licqueue -ignore unique_checks -l ./vcs_compile.log -timescale=1ns/1ps -full64 +vcs+llic+wait -debug_access+all -lca -sverilog +lint=TFIPC-L -kdb -top top -top glbl -error=noMPD

verdi:

verdi -dbdir simv.daidir -top top -sv -2001 -ssf test.fsdb &

注:vcs编译报错:xilinx原语

Error found while trying to resolve cross-module reference.   token 'glbl'.  Originating module 'DCM_ADV'.   Source info: assign GSR = glbl.GSR;原因是调用glbl时出现问题解决办法在vcs中添加一行-top glbl。具体原因自行google。

vcs编译vivado工程,还需要再生成vivado.f进行编译。

Vivado compile_simulation时报错:

ERROR: [vivado 12-5602] compile_simlib failed to compile for vcs_mx with error in 136 libraries (cxl_error.log)

ERROR: [Common 17-39] 'compile_simlib' failed due to earlier errors.

错误原因:

1.所用vcs版本和vivado ug900中,page 225(左右)推荐的版本不一致;

2.若版本一致依旧报错,且打开cxl_error.log发现错误均为编译IP时systemc报错,指出gcc版本不对,且发现当前环境脚本没有设置VG_GUN变量。

解决办法:

1.不使用systemc进行IP的编译,其实xilinx大部分IP均不会使用到c语言进行编译。除非调用了ARM core(zynq器件等仿真环境);在tcl中用命令行的方式进行compile_sim,具体的命令行其实在Tool->compile_sim;界面可以看到。在tcl命令行最后添加一个-no_systemc_project选项进行编译。之后export,解决。

2.非要用systemc进行IP编译,可以查看vcs_release_note.pdf文档中关于vg_gun_package的安装。在slovnet下载好之后直接解压,之后添加VG_GNU_Package 变量。source对应的gcc版本即可。之后再次运行Tool->compile_sim。

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

vcs编译vivado原语: 的相关文章

  • FPGA零基础学习之Vivado-ROM使用教程

    FPGA零基础学习之Vivado ROM使用教程 本系列将带来FPGA的系统性学习 从最基本的数字电路基础开始 最详细操作步骤 最直白的言语描述 手把手的 傻瓜式 讲解 让电子 信息 通信类专业学生 初入职场小白及打算进阶提升的职业开发者都
  • 各种FIFO硬件设计(FIFO概念、异步、同步、非2次幂深度FIFO)

    文章目录 一 FIFO概述 二 FIFO分类 三 FIFO重要信号与参数 3 1 信号 3 2 参数 3 2 1 data depth的确定 四 FIFO存储原理 五 同步FIFO 5 1 空满信号判断 5 2 同步FIFO源码 5 3 测
  • 8x8LED点阵

    点量这个只需要把9高电平 13低电平就可以了 共阳极点阵 行线是led的正极 列线是led的列线 左上角点亮 显示多个灯是动态扫描的 一个一个显示的 然后间隔速度要快就可以造成显示 点阵由两篇74Hc595级联在一起驱动的 只需要三个io口
  • Vivido添加pynq-Z2开发板

    一 下载pynq z2开发板文件 下载地址 https www tulembedded com FPGA ProductsPYNQ Z2 html 二 将下载的文件解压到vivado安装的位置 如果boards目录下面没有boards fi
  • modelsim 关联 notepad++

    modelsim 控制窗口敲入 1 proc external editor filename linenumber exec I notepad notepad exe filename 2 set PrefSource altEdito
  • MIPI D-PHY介绍(二) FPGA

    MIPI D PHY介绍 二 FPGA 随着移动设备的广泛普及 MIPI D PHY作为其最主要的物理层标准之一 被越来越多地使用在各种嵌入式系统中 本文将详细介绍MIPI D PHY的工作原理和在FPGA设计中的实现方法 MIPI D P
  • 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

    Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具 主要用于验证数字电路设计是否正确 紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真 这里作简要的介绍 添加仿真库 方法一 打开 Pang
  • Verilog HDL——分频 计数

    分频 计数 module traffic Clk 50M Rst Clk30 Clk 1Hz input Clk 50M Rst output Clk30 Clk 1Hz 分频器 reg Clk 1Hz 分频器 50M分频 reg 31 0
  • 二、RISC-V SoC内核注解——译码 代码讲解

    tinyriscv这个SoC工程的内核cpu部分 采用经典的三级流水线结构进行设计 即大家所熟知的 取值 gt 译码 gt 执行三级流水线 另外 在最后一个章节中会上传额外添加详细注释的工程代码 完全开源 如有需要可自行下载 上一篇博文中注
  • 数码管电子时钟

    文章目录 前言 一 回顾数码管 二 任务描述 三 系统框图 四 模块调用 五 模块原理图 六 工程源码 6 2 时钟计数模块代码 6 2 数码管驱动模块代码 6 3 顶层模块代码 七 仿真测试 7 1 测试代码 7 2 仿真结果 八 管脚信
  • FIFO读写控制

    如果在两个模块之间传输数据 两个模块之间的处理速率不同 会导致采集数据的遗漏或错误 在他们之间加一个数据缓存器 所有数据先经过缓存器缓存 再输入数据接送模块 创建两个模块 一个 作为发送模块 一个作为接受模块 发送模块检测到 fifo为空开
  • 八、RISC-V SoC外设——GPIO接口 代码讲解

    前几篇博文中注释了RISC V的内核CPU部分 从这篇开始来介绍RISC V SoC的外设部分 另外 在最后一个章节中会上传额外添加详细注释的工程代码 完全开源 如有需要可自行下载 目录 0 RISC V SoC注解系列文章目录 1 结构
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • 关于xilinx BRAM IP的延迟以及流程

    关于RAM IP的延迟 1 选择了output registers 可以在RAM输出端口添加register 也可以在core的输出添加 在primitives添加 降低clock to out到primitive的延迟 在core添加re
  • FPGA_时钟显示(时钟可调)

    1 实验说明 在数码管显示数据的基础上 让六位数码管显示数字时钟 并且通过按键可以对时间进行修改 实验目标 六位数码管分别显示时间的时分秒 且通过按键可实现加减调整时间及清零功能 key1 切换键 选择待调整的时间单位 时 分 秒 key2
  • 蓝桥杯真题:迷宫

    目录 题目描述 运行限制 dfs bfs 结果 题目描述 本题为填空题 只需要算出结果后 在代码中使用输出语句将所填结果输出即可 下图给出了一个迷宫的平面图 其中标记为 11 的为障碍 标记为 00 的为可以通行的地方 010000 000
  • 基于FPGA的AHT10传感器温湿度读取

    文章目录 一 系统框架 二 i2c接口 三 i2c控制模块 状态机设计 状态转移图 START INIT CHECK INIT IDLE TRIGGER WAIT READ 代码 四 数据处理模块 串口 代码 五 仿真 testbench设
  • 【FPGA】通俗理解从VGA显示到HDMI显示

    注 大部分参考内容来自 征途Pro FPGA Verilog开发实战指南 基于Altera EP4CE10 2021 7 10 上 贴个下载地址 野火FPGA Altera EP4CE10征途开发板 核心板 野火产品资料下载中心 文档 hd
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s
  • TRICONEX MA2211-100 芯片上相互连接

    TRICONEX MA2211 100 芯片上相互连接 TRICONEX MA2211 100 所有相同的组件 io的电源 处理器 和内存将需要 但是 你可以看到所有这些带存储器和处理器的OO板 针不能嵌入到一个小的单片机上 现在是 普拉克

随机推荐

  • 内网穿透NPS及NPC搭建(使用docker实现)

    客户端及服务端下载 NPS 1 启动NPS服务器容器 端口映射需要注意 docker run td rm p 10180 8080 p 10124 8024 p 10150 10179 10150 10179 name nps q01231
  • 遥感NDVI估算植被覆盖度

    遥感NDVI估算植被覆盖度 植被覆盖度是指植被 包括叶 茎 枝 在地面的垂直投影面积占统计区总面积的百分比 容易与植被覆盖度混淆的概念是植被盖度 植被盖度是指植被冠层或叶面在地面的垂直投影面积占植被区总面积的比例 两个概念主要区别就是分母不
  • php+redis实现对200w用户的即时推送服务

    欢迎加入 新群号码 99640845 怎么实现对200w用户的即时推送 这个推送可以理解为调用第三方的接口 push sms之类的东西 当时先写了一个demo 直接读取DB然后单个推送 结果 可想而知 于是设计一套基于redis php多进
  • EfficientNet的解读与Tensorflow 2.0实现

    EfficientNet论文解读 Efficient Net是Google在2019年发表的一篇论文 系统的研究了如何在给定资源的条件下 如何平衡扩展网络的深度 广度以及图像的分辨率这三者的关系 来取得最好的图像识别精度 作者提出了一种新的
  • part1:推荐一些适合练手、课程设计、毕业设计的python小项目源码,无任何下载门槛

    人生苦短 我用python 随着python这些年的流行 很多人开始使用python来实现各种功能 下面推荐一些适合用来练手 大学生课程设计作业 大学生毕业设计的python小项目 尤其适合新手 源码 说明文档 打包后的exe文件 都已经被
  • MCP2515独立控制器

    1 简介 MCP2515 是一款独立控制器局域网络 Controller Area Network CAN 协议控制器 完全支持 CAN V2 0B 技术规范 该器件能发送和接收标准和扩展数据帧以及远程帧 MCP2515 自带的两个验收屏蔽
  • GPU pytorch 1.4.0 cuda 10.1 安装

    安装版本 pytorch 1 4 0 torchvision 0 5 0 cudatoolkit 10 1 pytorch官网 第一步 安装 conda 镜像通道 conda config add channels https mirror
  • QT5开发

    摘要 Qt5主窗口是大部分Qt应用使用的基本界面 常见应用都会通过对主窗口进行界面布局来实现 一 QT5主窗口构成 1 基本元素 QMainWindow是一个为用户提供主窗口程序的类 包含一个菜单栏 menubar 多个工具栏 tool b
  • SpringMvc学习-2-Spring MVC 的核心组件

    Spring MVC 的核心组件 DispatcherServlet 核心处理器 也叫前端控制器 负责调度其他组件的执行 可降低不同组件之间的耦合性 是整个 Spring MVC 的核心模块 Handler 处理器 完成具体业务逻辑 相当于
  • Java 8: 从永久代(PermGen)到元空间(Metaspace)

    正如大家所知 JDK 8 Early Access版已经提供下载 这使开发者可以体验Java8的新特性 其中之一 是Oracle从JDK7发布以来就一直宣称的要完全移除永久代空间 例如 字符串内部池 已经在JDK7中从永久代中移除 JDK8
  • STM32题目项目汇总 - 100例

    文章目录 1前言 2 STM32 毕设课题 3 如何选题 3 1 不要给自己挖坑 3 2 难度把控 3 3 如何命名题目 1前言 更新单片机嵌入式选题后 不少学弟学妹催学长更新STM32和C51选题系列 感谢大家的认可 来啦 以下是学长亲手
  • 一点小记录

    看到一篇介绍vue plugin pages 很好的文章 懒癌福利 一种全新的路由组织方式 基于 vite 的插件介绍 他还有个github地址 try vite plugins 这里截图记录一下
  • Arm Linux 内存管理(一)————开启MMU

    首先我们根据vmlinux lds可以找到内核入口函数为 stext 我们就直接从stext开始 主要干了几件事情 1 safe svcmode maskall r9 设置CPU运行模式为SVC 并关中断 2 bl vet atags 验证
  • Spring 快速入门案例

    Spring框架参考文档 什么是Spring Spring是一个轻量级的开源框架 它是为简化企业级应用开发而生 使用Spring可以使简单的JavaBean实现以前只有EJB才能实现的功能 Spring有哪些优点 方便解耦 简化开发 Spr
  • 源代码主干分支开发四大模式

    作者 张克强 作者微博 张克强 敏捷307 1 先锋主干多稳定分支 2 守护主干多先锋分支 3 主干无分支 4 守护主干单分支 一 先锋主干多稳定分支 得到一个稳定版本后 将此稳定版本放到一个新分支上 针对此稳定版本的修修补补就在这个分支上
  • python数据绘图-折线图(matplotlib.pyplot)

    安装matplotlib pyplot模块 pip install matplotlib 导入模块 import matplotlib pyplot as plt 创建画布 可省略 matplotlib所绘制的图像都位于画布 figure
  • 【U盘量产工具】windows无法完成格式化——芯邦主控CBM2098S

    2022 2 15 前言 这次我爸又让我修U盘了 起因是他买了一个条形的音响 插U盘可以放歌 但是插上后却啥反应也没有 于是我拿来试了一下 参考另外一篇 U盘量产工具 热插拔导致U盘进入写保护 安国主控AU6989SN GT 解决 插上后就
  • Nature最新封面:两大数学难题被AI突破!DeepMind YYDS

    点击 凹凸域 马上关注 更多内容 请置顶或星标 量子位 报道 公众号 QbitAI 现在 AI不仅能参与数学研究 甚至还快人一步 开始帮助人类提出数学猜想了 就在今天 这只由DeepMind与顶级数学家合作研发的AI 登上了最新一期Natu
  • Nginx 常用命令及"nginx -s reload"重载未生效问题

    1 启动Nginx start nginx windows下 service nginx start linux mac下 2 关闭Nginx nginx s stop 立即停止nginx 不保存相关信息 或 nginx s quit 正常
  • vcs编译vivado原语:

    使用vcs verdi问题较少 使用vlogan vcs verdi三步编译 vlogan vlogan V sverilgo ignore unique checks libext sv vp vlib svh l vlogan comp