FPGA数字IC的Verilog刷题解析基础版03——奇偶校验(奇偶检测)

2023-11-12

1. 题目

用verilog实现对输入的32位数据进行奇偶校验,根据sel输出校验结果(sel=1输出奇校验,sel=0输出偶校验)。

`timescale 1ns/1nsmodule odd_sel(input [31:0] bus,input sel,output check);//*************code***********// //*************code***********//endmodule

2. 解析

2.1 奇偶校验

通常所说的奇偶校验:

奇校验:对输入数据添加1位0或者1,使得添加后的数包含奇数个1;

比如100,有奇数个1,那么奇校验结果就是0,这样补完0以后还是奇数个1;

奇校验:对输入数据添加1位0或者1,使得添加后的数包含偶数个1;

回到这个题目,应该是出题人搞反了,按照出题的意思,应该不能叫奇偶校验,应该是叫奇偶检测

奇检测:输入的数据里有奇数个1就输出1;

偶检测:输入的数据里有偶数个1就输出1; 

2.2 单目运算符

 红框里的内容在视频讲解时有误,已更正。

单目运算符使用时,输入的数据的每一位进行运算,最后结果一定是1 bit的

 用处:

3. 代码

`timescale 1ns/1nsmodule odd_sel(input [31:0] bus,input sel,output check);//*************code***********//wire check_tmp;    // 单目运算符    assign check_tmp = ^bus;  //  assign check = (sel == 1'b1) ? check_tmp : ~check_tmp;    reg check_reg;    always @ (*) begin        if(sel) begin            check_reg = check_tmp;        end        else begin            check_reg = ~check_tmp;        end    end    assign check = check_reg;//*************code***********//endmodule
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

FPGA数字IC的Verilog刷题解析基础版03——奇偶校验(奇偶检测) 的相关文章

  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • 校招失败后,在小公司熬了 2 年终于进了字节跳动,竭尽全力....

    其实两年前校招的时候就往字节投了一次简历 结果很明显凉了 随后这个理想就被暂时放下了 但是这个种子一直埋在心里这两年除了工作以外 也会坚持写博客 也因此结识了很多优秀的小伙伴 从他们身上学到了特别多东西 把这次面试分享出来 也是希望可以帮助
  • 工作五年,一年内我靠这系列java面试宝典从13K到大厂30K

    我认为对于面试以及进阶最佳的学习方法莫过于 刷题 博客 书籍 总结 前三者我将淋漓尽致地挥毫于这篇文章中 至于总结要靠个人 实际上越到后面你越会发现面试并不难 其次就是在刷题的过程中有没有去思考 刷题只是次之 这又是一个层次了 这里暂时不提
  • 史上最全的中高级 JAVA 工程师面试题汇总有哪些?

    你有面试机会了吗 近期 肯定有很多小伙伴 投出去的简历HR基本上都是已读不回 甚至都没有任何回复 或者平台默认筛选 你的简历HR根本就看不到 即使有些小伙伴简历通过 收到面试邀请了 结果被通知不用面试了 还有些小伙伴 有面试机会了 甚至已经
  • 987页的Java面试宝典,看完才发现,应届生求职也没那么难

    前言 现在已经九月底 金九银十也已经过去了一大半 很明显今年的面试季明显不如往年火热 对于求职者来说 也更难了一些 马上迎来国庆节 假期一过 十月份又过去了三分之一 综合来看今年确实不是面试的最佳时期 不过趁今年所剩的时间来好好准备 明年的
  • Java面试资料合集(24年目前最全整理面试跳槽必备)

    有了他们闭着眼睛也能找到工作 这段时间不是正好到了金三银四的季节了嘛 我司前段时间在整体环境不是很景气的大前提下也是招到了很多的开发小伙伴 听到开发组的组长说这批进来的一些新人还算是很优秀的 本来我心里的小算盘是 这样后面再给他们提一些需求
  • 2024年最热门的15个科技工作岗位

    1 系统安全管理员 系统安全管理员的任务是确保公司的网络 数据和系统免受网络安全威胁 方法是确保有适当的安全战略并保持最新的合规性和策略 要求 应聘者应具有网络安全职位的工作经验 并对合规性和安全协议的最佳实践有坚实的基础 这个职位通常需要
  • verilog 中的“<<”运算符

    我有一个verilog代码 其中有一行如下 parameter ADDR WIDTH 8 parameter RAM DEPTH 1 lt lt ADDR WIDTH 这里将存储什么RAM DEPTH以及什么是 lt lt 操作员在这里做
  • Verilog 错误:必须连接到结构网络表达式

    我收到错误 output or inout port Qout must be connected to a structural net expression 我评论了下面代码中发生错误的行 代码被修剪 压缩 我搜索了答案 似乎我无法将输
  • 外包干了2个月,技术退步明显...

    先说一下自己的情况 大专生 18年通过校招进入武汉某软件公司 干了接近4年的功能测试 今年年初 感觉自己不能够在这样下去了 长时间呆在一个舒适的环境会让一个人堕落 而我已经在一个企业干了四年的功能测试 已经让我变得不思进取 谈了2年的女朋友
  • 基于Loadrunner的性能分析及调优经验分享

    公司某个系统的微信端计划将开放给几百上千的人员登录查询 并且登录账号为同一账号多人使用 后台服务能够支撑起多用户的并发操作以及成百上千人登录微信端对生产数据库或者登录查询的性能效率高成为交付可靠生产环境的必要条件 因此 项目组决定提交测试
  • 2024诸多大厂春招提前启动!Android的程序员还在等什么

    春招 提前批 已开 xdm别打瞌睡了 格力 顺丰 酷狗 沃尔玛中国 理想 科大讯飞等开启春招 开始收简历了 还有hc的企业提前抢人 春招时间短 节奏快 招满即止 就算挂了也绝不能不投 对企业来说 秋招和春招都是储备人才的黄金时期 春招中 除
  • Synchronized 锁机制

    为了避免临界区的竞态条件发生 可以用非阻塞式的原子变量 也可以用阻塞式的锁 Java 多线程的锁都是 对象锁 采用互斥的方式让同一时刻只有一个线程能够持有对象锁 从而进入临界区 而其它线程只能阻塞等待 因此不用担心线程上下文切换造成共享资源
  • 深入解析 YAML 配置文件:从语法到最佳实践

    一 认识YAML YAML YAML Ain t Markup Language 是一种人类可读的数据序列化语言 它的设计目标是使数据在不同编程语言之间交换和共享变得简单 YAML采用了一种简洁 直观的语法 以易于阅读和编写的方式表示数据结
  • 如何在 Verilog 中综合 While 循环?

    我尝试设计一个 Booth 乘法器 它在所有编译器中运行良好 包括 Modelsim Verilogger Extreme Aldec Active Hdl 和 Xilinx Isim 我知道模拟和综合是两个不同的过程 而且只有少数Veri
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • FPGA大输入数据

    我正在尝试向 FPGA 发送 4 KB 字符串 最简单的方法是什么 是我正在使用的fpga的链接 我正在使用 Verilog 和 Quartus 您的问题的答案在很大程度上取决于将数据输入 FPGA 的内容 即使没有您需要遵守的特定协议 S
  • 在 Verilog 设计中产生时钟故障

    我正在使用 Verilog 设计芯片 我有一个 3 位计数器 我希望当计数器处于第 8 次循环时 应该有一个时钟故障 之后就可以正常工作了 在 Verilog 设计中产生时钟故障的可能方法是什么 在时钟信号上注入毛刺的一种方法是使用forc
  • reg 声明中的位顺序

    如果我需要使用 4 个 8 位数字 我会声明以下 reg reg 7 0 numbers 3 0 我对第一个和第二个声明 7 0 和 3 0 之间的区别感到很困惑 他们应该按什么顺序来 第一个是保留数字的大小 而第二个是保留数字的数量 还是
  • 系统 verilog 中没有类型的输入

    我在一个系统 verilog 代码的输入和输出的示例中遇到过module没有说明它们的类型 例如logic wire module mat to stream input 2 0 2 0 2 0 a b input newdata inpu

随机推荐

  • 信号、signal 函数、sigaction 函数

    文章目录 1 信号的基本概念 2 利用 kill 命令发送信号 3 信号处理的相关动作 4 信号与 signal 函数 4 1 signal 函数示例一 4 2 signal 函数示例二 5 利用 sigaction 函数进行信号处理 6
  • mysql对表的操作

    mysql对表的操作 表的概念 表是包含数据库中所有数据的数据库对象 表中的数据库对象包含列 索引 触发器 其中触发器是指用户定义的事务命令集合 当对一个表中的数据进行插入 更新或者删除时 这组命令就会自动执行 可以确保数据的安全性和完整性
  • npm : 无法加载文件 D:\Nodejs\node_global\npm.ps1,因为在此系统上禁止运行脚本

    npm 无法加载文件 D Nodejs node global npm ps1 因为在此系统上禁止运行脚本 1 问题详情 2 解决方法 1 问题详情 npm 无法加载文件 D Nodejs node global npm ps1 因为在此系
  • Kudu-客户端API编程、生态整合(Spark、Flink、Impala)

    文章目录 Kudu客户端API编程 客户端API核心类 Java编程接口 环境准备 创建表 插入数据 查询数据 修改表结构 更新数据 删除数据 更新和插入 删除表 Hadoop生态整合 整合概述 集成Spark Spark shell中操作
  • computed中不能写异步逻辑也就是不能发请求,如何解决

    其实不好解决 哈哈 不过仔细想想有以下几种解决方案 1 computed中的数据只要变化 computed值就会动态计算 所以你只要在交互之处 比如input 点击事件等操作中 发请求改得到结果赋值给相应的影响computed的data值
  • [1179]hive的lateral view用法

    文章目录 1 lateral view 简介 2 实操 2 1 建表 hive 2 2 插入数据 2 3 转成多行 2 4 汇总求和 1 lateral view 简介 hive函数 lateral view 主要功能是将原本汇总在一条 行
  • 关于附件下载的路径处理

    在网站附件下载中 往往我们不要直接暴露附件的存放地址 比如 a href file test doc 我的成功可以复制 a 点击下载的时候链接就是 http 192 169 1 87 file test zip 这样总感觉不够好 太直接了
  • JP《乡村振兴振兴战略下传统村落文化旅游设计》许少辉书香续,山水长

    JP 乡村振兴振兴战略下传统村落文化旅游设计 许少辉书香续 山水长
  • 条件编译小结

    编码的时候经常要用到条件编译 每次都到网上去查比较浪费时间 今天总结一下以备后用 编译器 GCC ifdef GNUC if GNUC gt 3 GCC3 0以上 Visual C ifdef MSC VER 非VC编译器很多地方也有定义
  • UnityVR--小程序4--第一人称控制器

    在没有VR设备的情况下 可以在Windows系统中运行我们之前做好的小游戏 只需要将VR场景中的OVRPlayerController更换成我们自己制作的第一人称控制器就行 之后可以用键盘和鼠标控制人物的移动 跳跃 转向 就和普通的3D游戏
  • 实用科研网站(自用)

    网站 网址 Papers With Code https paperswithcode com AMiner https www aminer cn Connected Papers https www connectedpapers co
  • Python3 生成器(generator)概念浅析

    引子 某次面试问候选人 Python 中生成器是什么 答曰 有 yield 关键字的函数 而在我印象中此种函数返回的值是生成器 而函数本身不是 如下 In 1 def get nums n for i in range n yield i
  • k互近邻算法 rerank

    建议读者手中有re ranking的代码 或者看过某个行人充实别的代码 一 re ranking大致流程 re ranking是一个图像检索问题 给定一个probe 要从图片集gallery中找出与它相似的图片 如 既然是检索问题 那么ra
  • llama2本地CPU推理运行

    介绍 本教程使用C语言部署运行llama2模型 可以高效地在CPU上进行推理 主要包含的内容有 1 运行环境配置 包括C python 2 原始llama2模型转换为二进制格式 3 使用C语言推理llama2 环境安装与配置 项目下载 gi
  • SQL语句,数据库增加、删除、修改、查询

    原创博客 转载请注明 转自 https blog csdn net hongdunyang article details 86181589 1 查询全部 select from table1 2 查询某几列 select colume1
  • 2021重庆江北中学高考成绩查询,2020年重庆部分中学高考成绩单,看看有你的母校吗?...

    文科重本线共有11117人 600分以上1835人 文科655分以上 全市共计57人 理科重本线共有42071人 600分以上7924人 理科700分以上的 全市共计54人 全国二卷理科状元 重庆八中谢欣颖同学726分 语文136数学149
  • Studio 3T for MongoDB的介绍及语法简单介绍

    用法介绍 Studio 3T是一款用于MongoDB数据库管理和开发的图形化工具 它提供了许多功能来简化MongoDB的操作和开发过程 以下是一些常见的Studio 3T用法 连接到MongoDB服务器 打开Studio 3T并创建一个新连
  • nvm使用的注意事项和常用命令。

    nvm官网下载地址 nvm文档手册 nvm是一个nodejs版本管理工具 nvm中文网 uihtm com 参考网址 14 封私信 80 条消息 如何通过 nvm 安装多版本 nodejs npm 安装失败了怎么办 知乎 zhihu com
  • Java学习笔记之“接口与继承”

    本文为在How2j的学习总结 只代表个人见解 如有不妥 望指出以便更正 接口 在设计LOL的时候 进攻类英雄有两种 一种是进行物理系攻击 一种是进行魔法系攻击 这时候 就可以使用接口来实现这个效果 设计两种接口AD和AP package L
  • FPGA数字IC的Verilog刷题解析基础版03——奇偶校验(奇偶检测)

    1 题目 用verilog实现对输入的32位数据进行奇偶校验 根据sel输出校验结果 sel 1输出奇校验 sel 0输出偶校验 timescale 1ns 1nsmodule odd sel input 31 0 bus input se