Verilog读写文件

2023-05-16

在通过编写Verilog代码实现ram功能时,需要自己先计算寄存器的位数和深度再编写代码。

而如果需要在编写的ram中预置值的话,就需要使用Verilog语言编写程序读写文件,来将相应的数据赋给寄存器。

这里给出Verilog实现ram的代码:

复制代码


module dpram(
        rclk,
        raddr,
        dout,
        
        wclk,
        we,
        waddr,
        din
    );

    parameter aw = 16;        //address widtth
    parameter dw = 12;        //data address

    input rclk;    //read clock
    input [aw-1:0] raddr;    //read address
    output [dw-1:0] dout;    //data output
    
    input wclk;    //write clock
    input we;    //write enable
    input [aw-1:0] waddr;    //write address
    input [dw-1:0] din;        //data input

    reg [dw-1:0] mem[(1<<aw)-1:0];    //block-ram
    reg [aw-1:0] ra;        //register read address
    
    always @(posedge rclk)
    begin
        ra <= #1 raddr;
    end

    assign dout = mem[ra];
    
    always @(posedge wclk)
    begin
        if(we)
            mem[waddr] <= #1 din;
    end

//读取mem文件存取程序中的mem寄存器
    initial $readmemh("bmp_64K.mem",mem);


endmodule  

复制代码

下面的程序定义了ram中寄存器的位数和深度,决定了ram的大小:


reg [dw-1:0] mem[(1<<aw)-1:0];    //block-ram  

整段程序不是很复杂,其他部分主要是用于实现ram的读写控制功能,这里不做赘述。

Verilog实现读写文件功能的部分代码如下:


//读取mem文件存取程序中的mem寄存器
    initial $readmemh("bmp_64K.mem",mem);  

这段程序的功能是从文件名是“bmp_64K.mem”的文件中读取其中的数据并置入前面定义的mem寄存器,即将数据置入ram中。


$readmemh("file_name.xxx",data)  

就是将file_name.xxx中的数据读入到data数组中。

使用格式有6种:


1) $readmemb("<数据文件名>",<存贮器名>);  
2) $readmemb("<数据文件名>",<存贮器名>,<起始地址>);  
3) $readmemb("<数据文件名>",<存贮器名>,<起始地址>,<结束地址>); 
4) $readmemh("<数据文件名>",<存贮器名>);  
5) $readmemh("<数据文件名>",<存贮器名>,<起始地址>);  
6) $readmemh("<数据文件名>",<存贮器名>,<起始地址>,<结束地址>);  

$readmemb要求数据格式必须是二进制形式,$readmemh则要求数据格式必须是16进制格式。

下面一些用法格式:(摘自https://wenku.baidu.com/view/81075c1f964bcf84b9d57b57.html)

1,打开文件


integer file_id;  
file_id = fopen("file_path/file_name");  

2,写入文件


//$fmonitor只要有变化就一直记录 
$fmonitor(file_id, "%format_char", parameter); 
eg:$fmonitor(file_id, "%m: %t in1=%d o1=%h", $time, in1, o1);   

//$fwrite需要触发条件才记录  
$fwrite(file_id, "%format_char", parameter);  

//$fdisplay需要触发条件才记录  
$fdisplay(file_id, "%format_char", parameter); 
$fstrobe();   

3,读取文件


integer file_id;  
file_id = $fread("file_path/file_name", "r");   

4,关闭文件


$fclose(fjile_id);  

5,由文件设定存储器初值


$readmemh("file_name", memory_name"); //初始化数据为十六进制 
$readmemb("file_name", memory_name"); //初始化数据为二进制  
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Verilog读写文件 的相关文章

  • [从零开始学习FPGA编程-38]:进阶篇 -语法-函数与任务

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 前言 第1章 什么是函数Function 1 1 什么是函数 1 2 函
  • Verilog HDL 循环语句错误:具有非常量循环条件的循环必须终止

    我对 Verilog 完全陌生 对于我在大学学习的课程 我必须很快了解它的很多内容 我正在摆弄我的 Altera DE2 板和 quartis2 并了解其细节 我正在尝试制作一个通过开关打开和关闭的计数器 到目前为止 计数器根据按键进行计数
  • 使用多路复用器进行双向移位

    编辑 仅通过屏幕截图 http prntscr com lv3uqw http prntscr com lv3yhf 和我下面的代码 您仍然可以在这里理解我的目标 以防万一您不想阅读文本 我正在尝试为通用移位寄存器编写 Verilog 代码
  • |变量在verilog中是什么意思?

    我想知道什么assign hd trs detected hd trs match Verilog 中的意思 我最感兴趣的是 hd trs match部分 我知道 表示按位或 但不确定如何解释它之前没有值 它是可理解的 1 还是 0 如果它
  • 模加法器输出显示没有变化

    输出波形显示无变化sum dif burrow and out 即使增加延迟时间后 输出仍然没有变化 这应该像 mod 加法器一样工作 如加 10 和 2 并且 mod 3 给出输出零 CODE module Mod adder a b p
  • Verilog HDL ?操作员

    什么是 用 Verilog 做什么 例如 以下命令是什么意思 input first din input 7 0 din output 127 0 parity reg 127 0 parity wire 7 0 feedback assi
  • 使用数据流模型的T触发器

    我正在尝试模拟 t flipflop 的工作 timescale 1ns 1ps module t flipflop input t input clk input clear output q output qbar wire sbar
  • EDAplayground 中不显示时钟波形

    当尝试在 EDA Playground 中显示时钟波形时 出现错误 执行中断或达到最大运行时间 如何显示波形 EDA Playground 上的代码 module test reg clk initial begin dumpfile du
  • [Verilog] Verilog 基本格式和语法

    主页 元存储博客 全文 3000 字 文章目录 1 声明格式 1 1 模块声明 1 2 输入输出声明 1 3 内部信号声明 1 4 内部逻辑声明
  • Verilog 中总是后面跟着 #(...) pound 是什么意思?

    在一个简单的时钟生成器示例中 我看到以下代码 always cycle 2 clk clk 我以前总是见过 但没见过井号 我试图在文档中找到它 但我所能找到的只是一些对 实值端口 的引用 没有进一步的阐述 这是一个延迟操作 它本质上只是读取
  • 使用双寄存器方法解决亚稳态问题

    为了解决Verilog中不同时钟域引起的亚稳态 采用双寄存器方法 但据我所知 亚稳态的最终输出尚未确定 输出独立于输入 那么 我的问题是如何保证使用双寄存器方法输出的正确性 Thanks 您不能完全确定您避免了亚稳态 正如您所提到的 亚稳态
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • 向左旋转 verilog 大小写

    我的任务是用verilog编写一个16位ALU 当我做需要旋转操作数和进行2的补码加法和减法的部分时 我发现了困难 我知道如何用纸和铅笔解决这个问题 但我无法弄清楚如何在 Verilog 中做到这一点 例如 A表示为a15 a14 a13
  • 开始后跟冒号和变量是什么意思?

    什么是data mux意思是这里 它只是块的名称吗 if PORT CONFIG 32 P0 1 b1 begin data mux end 这些是块名称 它们特别适用于generate块 例如 您可以定义一个generate块如 genv
  • 标识符必须用端口模式声明:busy。 (Verilog)

    我有如下所示的 Verilog 代码 当我编译它时 我收到以下错误消息 并且代码的第一行突出显示 Error 标识符必须用端口模式声明 busy Code module main clk rst start busy ready cnt s
  • Verilog 中的 If 语句和分配连线

    我试图弄清楚基于组合逻辑分配电线的基础知识 I have wire val wire x wire a wire b always begin if val 00 I want to assign x a if val 01 I want
  • Verilog 中的大括号是什么意思?

    我很难理解 Verilog 中的以下语法 input 15 0 a 16 bit input output 31 0 result 32 bit output assign result 16 a 15 a 15 0 我知道assign语句
  • 在测试台中显示信号名称/文字

    是否可以在 Verilog 中引用 显示信号的名称 文字 对于在 Verilog 测试台中创建通用信号检查功能来说 这将是一个有用的功能 我知道使用 display 时 m 将打印信号的范围 是否有显示信号名称的等效项 在 Verilog
  • VIM 高亮匹配开始/结束

    我正在尝试找到一个插件 它将突出显示与 Verilog 匹配的开始 结束语句 VIM 可以使用花括号 方括号 但不能使用它的开始 结束 我希望 VIM 突出显示正确的开始到正确的结束 在我看来 最好的选择是使用 matchit 该脚本是 v
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更

随机推荐