AHB-APB_Lite总线协议及Verilog实现

2023-05-16

AHB-APB_Lite总线协议及Verilog实现

文章目录

  • AHB-APB_Lite总线协议及Verilog实现
  • 一、AHB_Lite协议介绍
  • 二、系统框架介绍
  • 三、代码设计
  • 四、仿真测试


一、AHB_Lite协议介绍

    AHB(Advanced High-performance Bus)高速总线,接高速master设备,APB(Advanced Peripheral Bus)外设总线,用来接低速slave,AHB主要用于高性能模块(如CPU、DMA和DSP等)之间的连接,一个master可以有多个slave,AHB和APB之间通过一个AHB2APB桥转接。这里是实现一个AHB_Lite协议,相较于AHB_APB总线协议,AHB_Lite只有单主机,且没有HBUSREQ和HGRANT信号,同时从设备信号接口也简单许多

二、系统框架介绍

在这里插入图片描述
    主设备Master0利用AHB-Lite总线协议通过AHB Bridge访问四个APB从设备Slave0、Slave、Slave2和Slave3。每个从设备的地址空间如下:

Slave0: 0x0000_0000 ~ 0x0000_00ff;
Slave1: 0x0000_0100 ~ 0x0000_01ff;
Slave2: 0x0000_0200 ~ 0x0000_02ff;
Slave3: 0x0000_0300 ~ 0x0000_03ff;
令从设备地址空间的下边界为其地址的基址,假设每个从设备中有可访问APB寄存器16个,位宽均为32比特,16个寄存器的访问地址计算方式为 基址 +寄存器编号左移2位(byte 偏移)

主设备接口的数据读写采用AHB-Lite总线协议,并遵循如下时序规范:

在这里插入图片描述
从设备读写遵循APB时序规范:
在这里插入图片描述

三、代码设计

模块里包含Master 、Slave、Bridge设计
代码如下:

//-------------------------
//File Name:AHB_APB.v
//Designer:Liang Genyuan
//-------------------------
module AHB_APB(
		 HCLK,
		 HRESETn,
		 HWRITE,
		 HADDR,
		 HWDATAin,
       HRDATA,
       PRDATAin,
		 PWDATA
       );

input HCLK;
input HRESETn;
input HWRITE;
input [31:0] HADDR;
input [31:0] HWDATAin;
input [31:0] PRDATAin;
output[31:0] HRDATA;
output[31:0] PWDATA;


reg          PCLK;
wire         PRESETn;
wire          PWRITE;
reg          PSEL;
reg          PENABLE;
wire[31:0]    PADDR; 
reg[31:0]    PWDATA;
reg[31:0] 	 PRDATA;
reg[31:0]    HWDATA;
reg[31:0]    HRDATA;

reg         PSELS0;
reg         PSELS1;
reg         PSELS2;
reg         PSELS3;
reg[31:0] HADDR_Reg;
reg 		 HWRITE_Reg;
reg[3:0]  HSEL_Reg;
reg[31:0] HWDATA_Reg;
reg[31:0] PRDATA_Reg;
reg HREADY;

reg   [3:0] state_c     ;
reg   [3:0] state_n     ;
parameter  IDLE = 4'b0000 ;
parameter  SETUP = 4'b0001;
parameter  ENABLE = 4'b0010 ;

//PCLK二分频
 
always @(posedge HCLK) begin
	 if(!HRESETn)begin
		PCLK<=0;
	 end
    else if(HCLK==1'b1)begin
      PCLK<=~PCLK;
    end
end
    

//slave选择
`define S0BASE 4'b0000
`define S1BASE 4'b0001
`define S2BASE 4'b0010
`define S3BASE 4'b0011

wire[3:0] HSEL; 
assign HSEL = HADDR[11:8];
always  @(*)begin
    if(!HRESETn) begin
        PSELS0 = 1'b0;
        PSELS1 = 1'b0;
        PSELS2 = 1'b0;
        PSELS3 = 1'b0;
    end 
    case (HSEL)
        `S0BASE : 
          PSELS0 = 1'b1;

        `S1BASE :
          PSELS1 = 1'b1;    

        `S2BASE : 
          PSELS2 = 1'b1;

        `S3BASE : 
          PSELS3 = 1'b1;
    endcase 
end

//slave读写
wire[5:0]   reg_num;
reg [3:0]   PSELx;


 
assign reg_num= PADDR[7:2];
assign PRESETn=HRESETn;
assign PADDR=HADDR_Reg;
assign PWRITE=HWRITE_Reg;

always @(posedge PCLK or negedge PRESETn) begin
    if(!PRESETn)begin
		PWDATA<=0;
		PRDATA<=0;
	 end
	 else if(PWRITE)begin
		if((state_c==SETUP)||(state_c==ENABLE))begin
			PWDATA<=HWDATA_Reg;
		end
		else begin
			PWDATA<=0;
		end
	 end
	 else if(!PWRITE)begin
			PRDATA<=PRDATAin;
	 end
	 else begin
			PRDATA<=0;
	 end
end


//APB bridge状态机


wire  idle2setup_start  ;
wire  setup2enable_start;
wire  enable2idle_start ;

always@(posedge HCLK or negedge HRESETn)begin
	if(!HRESETn)begin
		PSELx<=0;
	end
	else if(state_c!=IDLE)begin
		PSELx<=HSEL_Reg;
	end
end

always @(posedge PCLK or negedge PRESETn) begin 
    if (!PRESETn) begin
        state_c <= IDLE ;
    end
    else begin
        state_c <= state_n;
    end
end

always @(*) begin 
    case(state_c)  
        IDLE :begin
            if(idle2setup_start) 
                state_n = SETUP ;
            else 
                state_n = state_c ;
                
        end
        SETUP :begin
            if(setup2enable_start) 
                state_n = ENABLE ;
            else 
                state_n = state_c ;
                
        end
        ENABLE :begin
            if(enable2idle_start) 
                state_n = IDLE ;
            else 
                state_n = state_c ;
                
        end
        default : state_n = IDLE ;
    endcase
end

always@(posedge PCLK or negedge PRESETn)begin
	if(PRESETn==1'b0)begin
		PENABLE<=0;
		PSEL<=0;
	end
	else if(state_c==SETUP)begin
		PSEL<=1;
		PENABLE<=0;
	end
	else if(state_c==ENABLE)begin
		PSEL<=1;
		PENABLE<=1;
	end
	else begin
		PSEL<=0;
		PENABLE<=0;
	end
end
		


assign idle2setup_start = (state_c==IDLE)&&(HADDR!=32'b0) ;
assign setup2enable_start = state_c==SETUP ;
assign enable2idle_start = state_c==ENABLE ;



//桥寄存

always @ (negedge HRESETn or posedge HCLK) begin
      if (!HRESETn)
        begin 
            HADDR_Reg  <= {32{1'b0}};
            HWRITE_Reg <= 1'b0;
        end
      else
        if (HREADY)begin
            HADDR_Reg  <= HADDR;
            HWRITE_Reg <= HWRITE;
        end  
    end


always  @(posedge HCLK or negedge HRESETn)begin
    if(HRESETn==1'b0)begin
        HSEL_Reg<=0;
    end
    else if(HREADY)begin
        HSEL_Reg<=HSEL;
    end
end


always  @(posedge HCLK or negedge HRESETn)begin
    if(HRESETn==1'b0)begin
        HWDATA_Reg<=0;
        PRDATA_Reg<=0;
        
    end
    else if(HWRITE==1)begin
        HWDATA_Reg<=HWDATA;
    end
    else begin
        PRDATA_Reg<=PRDATA;
    end
end



//master
//HREADY控制

always  @(posedge PCLK or negedge PRESETn)begin
    if(!PRESETn)begin
        HREADY<=0;
    end
    else if((state_c==IDLE)&&(HWDATA==0))begin
        HREADY<=1;
    end
	 else if(state_c==SETUP)begin
		  HREADY<=0;
	 end
end

always  @(posedge HCLK or negedge HRESETn)begin
    if(HRESETn==1'b0)begin
        HWDATA<=32'b0;
        HRDATA<=32'b0;
    end
    else if(HWRITE==0)begin
        HRDATA<=PRDATA_Reg;
    end
    else if(HWRITE==1)begin
        HWDATA<=HWDATAin;

    end
end

endmodule

四、仿真测试

分别对读写时序进行两组测试:

1、写时序
a、
HADDR=32’b0000_0000_0000_0000_0000_0001_0000_0000
HWDATAin=32’b0000_0000_0000_0000_0000_0001_0000_0001

在这里插入图片描述
PSELx=1,reg_num=0
即选中了第一个从设备中第一个寄存器

b、
HADDR=32’b0000_0000_0000_0000_0000_0011_0000_1000;
HWDATAin=32’b0000_0000_0000_0000_0000_1111_0000_1111;
在这里插入图片描述
PSELx=3,reg_num=2
即选中了第三个从设备中第三个寄存器

2、读时序
a、
HADDR=32’b0000_0000_0000_0000_0000_0011_0000_1000
PRDATAin=32’b00000_0000_0000_0000_0000_1111_0000_1111
在这里插入图片描述
PSELx=3 reg_num=2
即第三个从设备第三个寄存器

b、
HADDR=32’b0000_0000_0000_0000_0000_0100_0000_1100
PRDATAin=32’b00000_0000_0000_0000_0000_1110_0000_1110
在这里插入图片描述

PSELx=4 reg_num=3
即第四个从设备第四个寄存器

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

AHB-APB_Lite总线协议及Verilog实现 的相关文章

  • Spartan-3E 上的随机数生成

    我需要在 Spartan 3E FPGA 上为我的遗传算法生成伪随机数 并且我想在 verilog 中实现它 您能给我任何关于此的指示吗 当然 Adam 的随机生成器是不可合成的 您必须显式创建一个LFSR 以下示例可能会有所帮助 它是一个
  • verilog模块中的reg和wire有什么区别?

    在verilog模块中我们什么时候应该使用reg以及什么时候应该使用wire 我还注意到有时输出会再次声明为 reg 例如 D 触发器中的 reg Q 我在某处读过这个 过程赋值语句的目标输出必须是 reg 数据类型 什么是程序赋值语句 我
  • 为什么我的输出没有被赋值?

    我正在为一个更大项目的一部分开发解码器 我有两个计数器充当该模块的输入 其中一个计数器计数 0 15 另一个计数器在第一个计数器达到 15 时递增一次 根据计数器的值 解码器输出不同的值 通常它是 0 1 或 1 但有时它必须是 0 707
  • Quartus Prime 中的“多个常量驱动程序”Verilog 错误

    我正在致力于用 Verilog 设计一个有限状态机来表示堆栈 该模块如下 module state machine s Enable Clock Resetn c OF Err UF Err input 2 0 s input Enable
  • 计算数组中的个数

    我试图在 Verilog 中计算 4 位二进制数中 1 的数量 但我的输出是意外的 我尝试了几种方法 这是我认为应该有效的方法 但事实并非如此 module ones one in input 3 0 in output 1 0 one a
  • Verilog HDL 循环语句错误:具有非常量循环条件的循环必须终止

    我对 Verilog 完全陌生 对于我在大学学习的课程 我必须很快了解它的很多内容 我正在摆弄我的 Altera DE2 板和 quartis2 并了解其细节 我正在尝试制作一个通过开关打开和关闭的计数器 到目前为止 计数器根据按键进行计数
  • |变量在verilog中是什么意思?

    我想知道什么assign hd trs detected hd trs match Verilog 中的意思 我最感兴趣的是 hd trs match部分 我知道 表示按位或 但不确定如何解释它之前没有值 它是可理解的 1 还是 0 如果它
  • 如何使用触发器输出作为复位信号的输入

    我在柜台里放了 3D 触发器 一旦达到 5 101 我想将 FF 复位输入设置为高 使用或门 复位为低电平有效 这几乎可以工作 但是 当我最初运行程序时 触发器的 Q 输出都是未知的 因此 最初 或门的复位输入为低电平 但是 因为一开始 Q
  • Verilog、FPGA、统一寄存器的使用

    我有一个问题 关于我正在开发的 AGC SPI 控制器在我看来奇怪的行为 它是用 Verilog 完成的 针对的是 Xilinx Spartan 3e FPGA 该控制器是一个依赖外部输入来启动的 FSM FSM的状态存储在状态寄存器它没有
  • EDAplayground 中不显示时钟波形

    当尝试在 EDA Playground 中显示时钟波形时 出现错误 执行中断或达到最大运行时间 如何显示波形 EDA Playground 上的代码 module test reg clk initial begin dumpfile du
  • [Verilog] Verilog 基本格式和语法

    主页 元存储博客 全文 3000 字 文章目录 1 声明格式 1 1 模块声明 1 2 输入输出声明 1 3 内部信号声明 1 4 内部逻辑声明
  • 「Verilog学习笔记」游戏机计费程序

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点 刷题网站用的是牛客网 timescale 1ns 1ns module game count input rst n 异位复位信号 低电平有效 input clk 时
  • 为什么这个 verilog 关系语句返回 true?

    我有一条名为 sin hall2 的 9 位签名线 该语句返回 true sin hall2 8 0 gt 9 d1 当我查看模拟时 sin hall2 169 我假设这是 verilog 处理比较负数的方式 但我做错了什么 当我执行 si
  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • 在逻辑中使用单端端口期待差异对?

    我使用的逻辑被设置为需要一个差分对时钟端口 然而 对于一个特定的应用程序 我只能输入一个单端时钟 由于硬件限制 修改逻辑以接受单端时钟不是一种选择 因为涉及许多文件和代码行 有没有办法可以输入单端端口并以某种方式将其馈送到模块的差异对端口
  • 向左旋转 verilog 大小写

    我的任务是用verilog编写一个16位ALU 当我做需要旋转操作数和进行2的补码加法和减法的部分时 我发现了困难 我知道如何用纸和铅笔解决这个问题 但我无法弄清楚如何在 Verilog 中做到这一点 例如 A表示为a15 a14 a13
  • 标识符必须用端口模式声明:busy。 (Verilog)

    我有如下所示的 Verilog 代码 当我编译它时 我收到以下错误消息 并且代码的第一行突出显示 Error 标识符必须用端口模式声明 busy Code module main clk rst start busy ready cnt s
  • 具有 +1 逻辑的 4 位计数器 D 触发器

    我正在尝试通过 Verilog 实现带有 1 逻辑的 D 触发器计数器 但是我收到了很多有关网络多个常量驱动程序的错误代码 有人可以帮我吗 这是到目前为止的代码 module LAB clk clear Enable Q input clk
  • 如何在Verilog中将二维数组中的所有位设置为0?

    我构建了一个 8 2bits 数组来表示 Verilog 中的一块内存 reg 1 0 m 0 7 该存储器有一个复位信号 如果复位为1 则该存储器中的所有位都应重置为0 但是我不知道如何以简洁的方式设置m的所有位 因为如果有数百个内存中有
  • VIM 高亮匹配开始/结束

    我正在尝试找到一个插件 它将突出显示与 Verilog 匹配的开始 结束语句 VIM 可以使用花括号 方括号 但不能使用它的开始 结束 我希望 VIM 突出显示正确的开始到正确的结束 在我看来 最好的选择是使用 matchit 该脚本是 v

随机推荐

  • STM32单片机,下载器下载完程序能正常跑起来,断电再上电程序不运行

    晶振坏了 转载于 https www cnblogs com god of death p 7050281 html
  • CUDA性能优化----warp深度解析

    CUDA性能优化 warp深度解析 2017 01 12 16 41 07 分类 xff1a HPC amp CUDA优化 标签 xff1a gpu cuda hpc 举报 字号 订阅 下载LOFTER 我的照片书 1 引言 CUDA性能优
  • 螺旋桨转矩

    xfeff xfeff 在螺旋桨气动力分析时 xff0c 首先应用翼型理论进行螺旋桨叶素分析 利用翼型升阻特性数据 xff0c 回避了有限机翼的展弦比问题 xff0c 诱导流动由涡流模型确定 xff0c 取决于桨叶数目 间距以及作用于每片桨
  • 给初学者们讲解人工神经网络(ANN)

    1 介绍 这份教学包是针对那些对人工神经网络 xff08 ANN xff09 没有接触过 基本上完全不懂的一批人做的一个简短入门级的介绍 我们首先简要的引入网络模型 xff0c 然后才开始讲解ANN的相关术语 作为一个应用的案例 xff0c
  • OpenMP基本概念

    OpenMP是一种用于共享内存并行系统的多线程程序设计方案 xff0c 支持的编程语言包括C C 43 43 和Fortran OpenMP提供了对并行算法的高层抽象描述 xff0c 特别适合在多核CPU机器上的并行程序设计 编译器根据程序
  • 散度和旋度的物理意义是什么?

    高等数学学的时间有点久远了 xff0c 最近需要推倒一些公式 xff0c 以前高数学的时候这公式那定理的都没说什么物理意义 xff0c 现在接触的问题都是具有一定物理意义的 xff0c 感觉对不上 xff0c 回来找找资料好好理解一下 xf
  • 格林公式、高斯公式及斯托克斯公式的理解及相互关系

    最近要推倒波动方程积分解 xff0c 要对散度 旋度以及他们之间的相互关系有一个理解 看了两天 xff0c 自己认为理解的差不多了 xff0c 现在写在这个地方 xff0c 作为笔记 xff0c 以后忘记了拿过来看一下 xff0c 加深一下
  • Radon变换理论介绍

    本人最近在研究Radon变换 xff0c 在查阅了各种资料之后在此写下个人的理解 xff0c 希望与各位牛牛进行交流共同进步 xff0c 也使得理解更加深刻些 Radon变换的本质是将原来的函数做了一个空间转换 xff0c 即 xff0c
  • test

    lt DOCTYPE html gt lt html lang 61 34 en 34 gt lt head gt lt meta charset 61 34 utf 8 34 gt lt meta http equiv 61 34 X U
  • BIT内存顺序

    机器的最小寻址单位是字节 xff0c bit无法寻址 xff0c 也就没有高低地址和起始地址的概念 xff0c 我们需要定义一下bit的 地址 以一个字节为例 xff0c 我们把从左到右的8个bit的位置 position 命名按顺序命名如
  • 无人驾驶感知篇之融合(五)

    今天早上看到上海新增一万七千左右 xff0c 看的真的很揪心 xff01 希望白衣战士能早点战胜这场疫情 xff0c 期待明天能有好消息 xff01 今天具体讲讲多贝叶斯估计算法的原理 xff0c 多贝叶斯估计法的主要思想是将传感器信息依据
  • MAC地址的介绍(单播、广播、组播、数据收发)

    MAC地址组成 网络设备的MAC地址是全球唯一的 MAC地址长度为48比特 xff0c 通常用十六进制表示 MAC地址包含两部分 xff1a 前24比特是组织唯一标识符 xff08 OUI xff0c OrganizationallyUni
  • stm32通用定时器输出PWM控制舵机

    stm32的通用定时器有TIM2 TIM3 TIM4 TIM5 xff0c 每个定时器都有独立的四个通道可以作为 xff1a 输入捕获 输出比较 PWM输出 单脉冲模式输出等 stm32除了基本定时器 xff0c 其他定时器都能输出PWM
  • Linux内核Socket CAN中文文档

    自己在年假中空闲之余翻译的内核中Socket CAN的文档 xff0c 原文地址在 xff1a http lxr linux no linux 43 v2 6 34 Documentation networking can txt 但是这篇
  • c/c++自定义通讯协议(TCP/UDP)

    前言 xff1a TCP与UDP是大家耳熟能详的两种传输层通信协议 xff0c 本质区别在于传输控制策略不相同 xff1a 使用TCP协议 xff0c 可以保证传输层数据包能够有序地被接受方接收到 xff0c 依赖其内部一系列复杂的机制 x
  • ubuntu 使用虚拟can 与 socketCAN使用

    原文链接 xff1a https blog csdn net xiandang8023 article details 127990159 创建虚拟CAN接口 在Linux上能使用虚拟CAN接口之前 xff0c 需要在终端执行以下三个步骤
  • cmake引入第三方库

    cmake引入第三方库 第三方库包含 lib文件和 h hpp文件动态库还包含 dll文件 小例程 3rdparty bin test dll include test hpp lib Debug test lib Release test
  • AHB-APB总线协议

    AHB APB总线协议 文章目录 AHB APB总线协议一 AHB APB总线介绍二 AHB总线设备1 AHB主设备 xff08 master xff09 2 AHB从设备 xff08 slave xff09 3 AHB仲裁器 xff08
  • Modelsim缺失库快速添加

    Modelsim缺失库快速添加 文章目录 Modelsim缺失库快速添加前言一 ini文件二 器件库配置1 将器件库放在modelsim文件夹下2 ini配置文件修改 前言 在单独使用modelsim时 xff0c 假如要编译复杂的工程文件
  • AHB-APB_Lite总线协议及Verilog实现

    AHB APB Lite总线协议及Verilog实现 文章目录 AHB APB Lite总线协议及Verilog实现一 AHB Lite协议介绍二 系统框架介绍三 代码设计四 仿真测试 一 AHB Lite协议介绍 AHB xff08 Ad